橙山网 > 星百科 > 明星百科 > 正文

现代计算机组成原理

橙山网(www.csnd.net)2015-03-12

[摘要] 图书信息出版社: 科学出版社; 第1版 (2007年2月1日) 丛书名: 普通高等教育“十一五”国家级规划教材 平装: 411页 正文语种: 简体中文 开本: 16 ISBN: 7030184491 条形码: 9787030184498 尺寸: 26 x 1

图书信息出版社: 科学出版社; 第1版 (2007年2月1日) 丛书名: 普通高等教育“十一五”国家级规划教材 平装: 411页 正文语种: 简体中文 开本: 16 ISBN: 7030184491 条形码: 9787030184498 尺寸: 26 x 18.5 x 1.5 cm 重量: 621 g内容简介《现代计算机组成原理》提供了基于VHDL和EDA技术的关于CPU和计算机系统的设计理论和设计技...

出版社: 科学出版社; 第1版 (2007年2月1日)

丛书名: 普通高等教育“十一五”国家级规划教材

平装: 411页

正文语种: 简体中文

开本: 16

ISBN: 7030184491

条形码: 9787030184498

尺寸: 26 x 18.5 x 1.5 cm

重量: 621 g

《现代计算机组成原理》提供了基于VHDL和EDA技术的关于CPU和计算机系统的设计理论和设计技术方面较完整和丰富的内容。其中有基于微程序控制模式的8位CISC模型CPU设计技术;基于状态机控制模式的16位CISC CPU设计技术;MCS51系列单片机兼容型单片机IP软核系统设计方法;基于流水线技术的16位RISC CPU设计技术,以及基于SOPC技术的32位NiosⅡ软核嵌入式系统软硬件设计技术。从授课内容到实验形式都能与目前国外计算机组成原理与计算机体系结构等同类课程的教学和实验有较好的接轨。全书内容新颖实用,吸收了欧美许多高校的计算机组成原理同类课程教学和实验方面的基本内容。书中每一章中都安排了对应的习题和实验,首次为国内高校就这一课程的教学改革和相关实验内容的延拓提供了实用的教材。《现代计算机组成原理》可作为计算机专业的本科生、研究生的教科书,或是作为传统的计算机组成原理课的教学与实验的补充资料,也可作为电子类各专业高年级本科生、研究生系统设计参考教材,或相关领域工程技术人员基于EDA技术的片上系统开发应用的参考书。

第1章 概述

1.1 现代计算机组成原理课的任务

1.1.1 问题的提出

1.1.2 探索解决问题的方法

1.2 EDA技术

1.3 FPGA器件

1.3.1 FPGA的发展历程

1.3.2 Cyclone系列FPGA

1.4 硬件描述语言VHDL

1.5 EDA设计流程

1.6 QuartusⅡ简介

1.7 CISC和RISC处理器

1.8 FPGA在现代计算机领域中的应用

第2章 VHDL与Quartusll应用

2.1 VHDL基本语句语法

2.1.1 组合电路的VHDL描述

2.1.2 VHDL语句结构

2.2 时序电路描述

2.2.1 D触发器描述

2.2.2 时序描述相关语法规则

2.2.3 实现时序电路的VHDL不同表述

2.3 全加器描述及相关语法

2.3.1 半加器描述

2.3.2 CASE语句

2.3.3 例化语句

2.4 计数器设计及相关语法

2.5 一般计数器设计

2.5.1 相关语法说明

2.5.2 程序功能和语法分析

2.5.3 含并行置位的移位寄存器设计

2.6 Quartusll6.0使用向导

2.6.1 建立工作库文件夹和编辑设计文件

2.6.2 创建工程

2.6.3 编译前设置

2.6.4 全程编译

2.6.5 时序仿真

2.6.6 应用RTL电路图观察器

2.6.7 引脚锁定设置和下载

2.6.8 配置文件下载

2.6.9 AS模式和JTAG间接模式编程配置器件

2.7 嵌入式逻辑分析仪使用方法

2.8 原理图输入设计方法

习题

实验与设计

2.1 组合电路的设计

2.2 时序电路的设计

2.3 含异步清零和同步时钟使能的加法计数器的设计

2.4 用原理图输入法设计8位全加器

第3章 VHDL深入

3.1 数据对象

3.1.1 常数

3.1.2 变量

3.1.3 信号

3.1.4 进程中的信号赋值与变量赋值

3.2 IF语句概述

3.3 进程语句归纳

3.3.1 进程语句格式

3.3.2 进程结构组成

3.3.3 进程要点

3.4 并行语句例解

3.5 仿真延时

3.5.1 固有延时

3.5.2 传输延时

3.5.3 仿真6

3.6 有限状态机

3.6.1 数据类型定义语句

3.6.2 一般有限状态机的设计

3.6.3 Moore型状态机

3.6.4 Mealy型状态机

3.7 双向和三态电路信号赋值

3.7.1 三态门设计

3.7.2 双向端口设计

3.7.3 三态总线电路设计

习题

实验与设计

3.1 7段数码显示译码器设计

3.2 数控分频器的设计

3.3 8位十六进制频率计设计

3.4 ADC0809采样控制电路实现

3.5 序列检测器设计

第4章 CPU功能模块设计

4.1 8位CPU功能与结构

4.2 CPU中的基本部件

4.2.1 算术逻辑单元

4.2.2 数据缓冲寄存器

4.2.3 移位运算器

4.2.4.程序存储器与数据存储器

4.2.5 先进先出存储器FIFO

4.2.6 流水线乘法累加器

4.2.7 程序计数器与地址寄存器

4.2.8 指令寄存器

4.2.9 指令译码器与控制器

4.2.10 时序产生器

4.3 数据通路设计

4.3.1 模型机的数据通路

4.3.2 模型机的电路结构

4.4 在系统存储器数据读写编辑器应用

4.5 嵌入式锁相环调用

4.5.1 建立嵌入式锁相环元件

4.5.2 测试锁相环

习题

实验与设计

4.1 算术逻辑运算单元ALU设计实验

4.2 带进位算术逻辑运算单元ALU设计实验

4.3 移位运算器设计实验

4.4 LPM—ROM实验

4.5 LPM—RAM实验

4.6 LPM—FIFO实验

4.7 FPGA与外部16位:RAM接口实验

4.8 微控制器实验1:节拍脉冲发生器时序电路实验

4.9 微控制器实验2:程序计数器PC与地址寄存器AR实验

4.10 微控制器实验3:微控制器组成实验

4.11 正弦信号发生器设计

第5章 8位CISC计算机设计

5.1 8位CPU结构

5.2 指令系统的结构及功能的确定

5.3 8位CPU的硬件系统设计

5.4 具有移位功能的CPU设计

5.5 含更多指令的CPU模型机设计

5.6 8051单片机IP软核应用系统构建

习题

实验与设计

第6章 16位CISC CPU设计

6.1 顶层系统设计

6.2 CPU基本部件设计

6.3 CPU的时序仿真与实现

6.4 应用程序设计实例

习题

实验与设计

第7章 流水线结构RISC CPU设计

7.1 流水线的一般概念

7.2 流水线中的主要问题及处理

7.3 流水线的性能评价

习题

实验与设计

第8章 16位流水线CPU设计

8.1 流水线CPU的结构

8.2 指令系统设计

8.3 数据通路设计

8.4 流水线各段设计和功能描述

习题

实验与设计

第9章 32位IP软核嵌入式系统

9.1 Nios/NiosⅡ软核处理器

9.2 Nios软核处理器及其设计流程

9.3 32位NiosⅡ系统设计

9.4 自定制Avalon总线外设

9.5 DMA

9.6 自定制硬件指令

第10章 NiosⅡ嵌入式系统软硬件设计

10.1 NiosⅡ基本硬件系统构建

10.2 NiosⅡ软件设计与运行流程

10.3 加入用户自定义组件设计

10.4 加入用户自定义指令设计

10.5 Flash编程下载

习题

实验与设计

附录 GW48-CP+实验系统相关资料

一、GW48-CP+系统实验信号名与芯片引脚对照表

二、实验电路结构图

参考文献

[责任编辑:kemi]
  • 分享到:
标签

相关阅读

暂无相关文章

网友评论

热 门
明 星
高耀太 史蒂夫·福里斯特 龙刚 张献民 许亚军 尼可莱塔·布拉斯基 阿伊·阿什顿 李芳雯 景冈山 邱淑珍 徳井义実 阿诺德·科派尔森 胡军 谢伟才 朴春 蔡珩 布鲁思·麦勒 雅克·拉兰德 贾德·约克 获赔 艾尔弗雷德·莫利纳 iPhone 8 plus 抒情 粪便 不是 山本裕典 法滑雪场缆车故障 遇到什么故障了?具体情况怎么样 安东尼·明格拉 豪门阔太不易做 道格拉斯·塞伯恩 好友:蒋梦婕与陈翔 集安 黄维德 托尼·迪贝尼德多 贾里德·莱图 已有3万人被骗17亿